admin 管理员组

文章数量: 887031


2023年12月25日发(作者:汇编语言需要先学数据结构吗)

1.试简单讨论SRAM-FPGA、反熔丝FPGA、EEPROM的CPLD的构造特征,并指出:〔5分〕

〔1〕 在一样规模的条件下,哪一种可能的资源利用率最高,为什么?

〔2〕 在一样的逻辑实现条件下,哪一种逻辑实现时的电路时延可估算性最好。为什么?

〔3〕 在一样的资源利用的条件下,FPGA和CPLD,哪一种复杂逻辑的实现灵敏性好,为什么?

2.WAP是由哪几个英文字组成的?写出其原文,并说出它是当前哪两大应用领域所共同关注的焦点问题。WAP的两个最大的优点是什么?〔2分〕

3.在采用FPGA或CPLD作为目的器件,来实现你的逻辑设计时,其选择的原那么是什么,主要从哪几个限制因素去考虑。〔3分〕

4.当前,微电子芯片技术和其它领域技术相结合的典型成功范例主要在哪两大领域?〔2分〕

5.流水线技术进步系统处理速度同时也会造成输出滞后,并且需要额外的存放器资源。因此有人认为,假如用FPGA来实现电路逻辑,宜采用流水线方式,而如使用CPLD来实现路逻辑,往往不必采用流水线方式,为什么?〔3分〕

6.信息时代的突然降临,使信息平安问题更为引人关注。而传统的密钥加密方法通过不断加长密钥长度来进步系统密级的方法变得越来越不平安,而且此法对图像、视频、声音等多媒体信息也力不从心。近年来,出现了_______〔四个字〕技术。它的优点是可以把毫____〔两个字〕的内容隐藏到一件作品中。我们这里所说的作品,可以包括文字、图像、视频和声音等信息。〔2分〕

7.测试考虑是ASIC设计中的最棘手的问题之一,假如说设计的可测性是指完好的测试程序的生成和执行的有效性,那么,评价一个设计的可测性的根本要素有哪些?〔3分〕

8.数字信号处理器DSP技术中,其详细内容是对信号进展_____〔两字〕,____〔两字〕,_____〔两字〕,_____〔两字〕等加工处理。其应用最多的四种算法:卷积、相关、变换及级数处理,都可以用对两数进展_____〔两字〕后,再求___〔壹字〕的通用公式表达出来。〔5分〕

9.如下构造体代码是描绘异步复位的D触发器,试改为同步复位形式。〔3分〕

architecture rexample of dff_logic is

begin

process(clk,reset)begin

if reset=`0` then

q<=d;

elsif rising_edge(clk) then

q<=d;

end if ;

end process;

end rexample;

10. 请说出ADSL的中文意思〔越简单越好〕,并用一句话说出它最可能使用在哪个领域 〔最好给出其带宽的量级〕。〔1分〕

11. 如下VHDL描绘中存在多个错误,请指出并予修正。〔5分〕

library ieee; --line1

use _logic_; --line 2

entity terminal_count is port〔 --line 3

clock,reset,enable in bit; --line 4

data: in std_logic_vector(7 down to 0); --line 5

equals, term_cnt;out std_logic); --line 6

end terminal_count; --line 7

architecture terminal_count of terminal_count is --line 8

signal count; std_logic_vector(7 down to 0); --line 9

begin --line 10

compare;process --line 11

begin --line 12

if data=count then --line 13

equals='1' --line 14

end if; --line 15

end process; --line 16

--line 17

counter;process(clk) --line 18

begin --line 19

if reset='1' then --line 20

count<="111111111"; --line 21

elsif rising_edge(clock) then --line 22

count<=count + 1; --line 23

end if --line 24

end process; --line 25

--line 26

term_cnt<= 'Z' when enable='0'else --line 27

'1' when count="1-------"else --line 28

'0'; --line 29

end terminal_count; --line 30

12. 使用CMOS集成电路时,请分别说出对或门、或非门、与门和与非门:1〕其多余的输入端应如何处理?2〕对多余门的输入端和输出端又如何处理?3〕对其输入信号的电压幅度和输入信号电流有什么要求?4〕对其电源有什么限制?〔6分〕

13. 三位计数器的VHDL描绘如下,试对其建立测试平台〔Test-Bench〕(6分)

library ieeee;

use _logic_;

package mycntpkg is

component count port(clk,rst;in std_logic;

cnt; inout std_logic_vector(2 downto 0));

end component;

end mycntpkg;

library ieee;

use _logic_;

architecture archcount of count is

begin

counter;process(clk,rst)

begin

if rst='1' then

cnt<=(others=>'0');

elsif(clk'event and clk='1')then

cnt<=cnt + 1;

end process;

14. 采用自上而下的设计时,完成模拟、仿真、可测性等设计之后,假如采用ASIC设计,其后一个相当关键的步骤是进展布局布线设计。只当完成此设计后,才能制版并进入消费线进展芯片制造。下面,我们举个极为简单的例子来说明解决布局布线〔即布图〕问题的困难程度。

假定现有四个面积相等的单元A、B、C、D,其间连线数目分别为NAB=4,NAC=14,NAD=12,NBC=8,NBD=6,NCD=3。现将它们排成一行,请找出一个布局序列使总连线长度最短。假设相邻单元连线长度为1,忽略单元本身长度,那么第一与第三单元连线长度为2,第二与第四单元连线长度为3。先考虑A,NAC最大,C应放到A旁边,NAB最小,B应放到最远处,由此得布局结果为:ACDB。这时,CD紧靠着,NCD=3,其连线最少,显然此种布局结果不合理。假设调动CD,那么势必破坏对A合理的序列,即:对某个合理的排法对全局讲并不一定合理。解决这类问题的一个方法是穷举所有可能的组合,全部算一遍,即可求得最优解。

不幸的是,当单元个数即问题规模随n增大时,计算量将会以2n或以比此更快的指数形式迅速增大。通常我们设计的超大规模集成电路,目前其门数可达十万,数十万或更大,因此用这种穷举法简直就不可思议〔运算时间大得惊人〕。对于这类问题,我们通常称之为________〔四个字〕问题。 如今再加过头来看布图问题,通常我们要求的不止是总连线长度最短,还要求其结果要接近正方形。对某些连线长度要最短〔如总线〕,对另一些线却要求其各条连线的长度最好大致相等〔如时钟线〕……,即布图问题通常还是多目的函数的优化问题。

综上所述,不难想象,使用不同的软件工具或给予不同的目的要求,那么其布图结果是不尽一样的。〔2分〕

15. 有限状态机是指_________________________时序机。时序电路是指_________的物理实现。其中More型状态机是指其输出是_____________________的函数。Mealy型状态机是指其输出是____________________的函数。请分别画出More机和Mealy机的电路框图。〔7分〕

16. 一位工程师,设计了一个含数字和模拟局部在一起的混合电路。在幅员中,数字和模拟局部是分别安置的。其电源和地线连接情况大致如下图。您认为这种连法妥当吗?如认为有不妥之处,请指出哪里不好,如何改才较为妥当。只须简单指出,不必做详细的数学分析。

注:图中没有画出数字和模拟两局部电路之间的连线。〔3分〕

17. 设计一个符合以下图所示要求的FIFO〔字长为8,位宽为K Bit〕,试画出其逻辑框图,并采用VHDL语言描绘之。〔16分〕〔K=9〕

18. 现有如下图的四种集成电路〔每种均有假设干片〕,我们需要一个四变量偶数译码器。请从这四种已有电路中选择某个或某几个电路连成此译码器,要求组成此译码器的电路最简单。假定此译码器的输入信号为A、B、C、D,其输出端

为Y。四个输入信号全为低时,输出为高;凡四个输入信号中,偶数个为高时,输出为高电平;否那么,输出为低电平。

〔1〕请写出真值表;

〔2〕画出输出输入信号波形图〔假定信号时延均略去〕;

〔3〕画出电路连接图。〔10分〕

19. 如下图一个P阱CMOS电路幅员。图上仅画出P阱,多晶硅,扩散区,P注入区,孔及金属区等6层。请由此幅员:〔1〕提取出以晶体管及其连线表示的电路原理图。〔2〕指出各管子的宽长比。〔3〕你能否猜出这个幅员是作什么用的?〔4〕图上许多地方均开了一排排的孔,这是干什么用的?〔只须一句话讲出就可以〕〔16分〕

(1) 图尺寸392*208(2) P阱 (343,186)(224,107) (3) 扩散区.

2000年全国EDA竞赛上机试题

设计一加法器阵列,完成以下复数运算功能,其中R为数据的实部,1为数据的虚部。

Ra'=(Ra+Rc)+(Rb+Rd)

Ia'=(Ia+Ic)+(Ib+Id)

Rc'=(Ra+Rc)-(Rb+Rd)

Ic'=(Ia+Ic)-(Ib+Id)

Rb'=(Ra-Rc)+(Ib-Id)

Ib'=(Ia-Ic)-(Rb-Rd)

Rd'=(Ra-Rc)-(Ib-Id)

Id'=(Ia-Ic)+(Rb-Rd)

功能框图如下:

输入信号:

1. 输入数实部Ra,Rb,Rc,Rd,虚部Ia,Ib,Ic,Id的数据宽度均为19位;每次向加法器阵列只能送一个操作数,包括实数R〔19bit〕、虚部I〔19bit〕;操作数据a、c、b、d的顺序连续送入,在加法器列中要进展串并变换。

2. CP脉冲。输出信号:输出数实部Ra',Rb',Rc',Rd',虚部Ia',Ib',Ic',Id'的数据宽度均为21位。

设计要求:

1. 加法器要求采用快速进位链〔Look Ahead〕。

2. 在加法器阵列中参加流水线构造〔Pipelinc〕,每一拍完成一个加法,输入连续送数,输出连续出结果。

3. 逻辑要求最简化。

4. 要求写出完好的实验报告。


本文标签: 设计 要求 问题 连线 信号